Телескопы покупают здесь


A A A A Автор Тема: 33 мегапикселя на ладони  (Прочитано 28598 раз)

0 Пользователей и 2 Гостей просматривают эту тему.

Оффлайн Василий Вершинин

  • *****
  • Сообщений: 3 713
  • Благодарностей: 122
  • Per anus ad astra
    • Skype - vasiliy_vershinin
    • Сообщения от Василий Вершинин
Re: 33 мегапикселя на ладони
« Ответ #580 : 24 Дек 2015 [00:50:14] »
С одной стороны сопротивление открытого ключа, с другой 5кОм. Если вывод плис в HiZ, то вместо просадки наполовину там бы ноль был. А так очень похоже, что на выводе лог. 1
да и кривая перехода 0-1 была бы другая
« Последнее редактирование: 24 Дек 2015 [00:56:04] от Василий Вершинин »

Оффлайн Василий Вершинин

  • *****
  • Сообщений: 3 713
  • Благодарностей: 122
  • Per anus ad astra
    • Skype - vasiliy_vershinin
    • Сообщения от Василий Вершинин
Re: 33 мегапикселя на ладони
« Ответ #581 : 24 Дек 2015 [12:17:28] »
Кстати, дизайн развести удалось? ;D

Оффлайн Дмитрий Бучинский

  • *****
  • Сообщений: 603
  • Благодарностей: 25
  • ex. damian_1
    • Сообщения от Дмитрий Бучинский
Re: 33 мегапикселя на ладони
« Ответ #582 : 24 Дек 2015 [15:32:32] »
Если разводка позволяет, можно соединить паралельно пару выводов ПЛИС для работы с однопроводной шиной термометра.
1й вывод конфигурируется как вход, его состояние опрашивается в заданные моменты времени согласно циклограмме шины.
2й вывод конфигурируется как выход "открытый коллектор", через который осуществляется передача лог нуля в шину.
Подтяжка внешним резистором.
Такие решения лучше закладывать еще на стадии проектирования, лишний пин у большого ПЛИСа обычно изыскивается без проблем, зато потом минус 100500 геморроя с написанием прошивки.
SW2001, самодельный USB мотофокус, MPCC, колесо DS 2", EOS 350Da, QHY8L @ NEQ6ST eqmod. Гид - кинопроекционник КО-140М ( 140/1.8 ) + DSI-Pro.
Доп: разные телевики + самодельное колесо фильтров с USB + QHY6.
Darkbox - термометр/термостат + пельтье холодильник для съемки правильных дарков.

Оффлайн Василий Вершинин

  • *****
  • Сообщений: 3 713
  • Благодарностей: 122
  • Per anus ad astra
    • Skype - vasiliy_vershinin
    • Сообщения от Василий Вершинин
Re: 33 мегапикселя на ладони
« Ответ #583 : 24 Дек 2015 [15:44:28] »
Так Andreichk так небось ща и сделает :D Не усложняйте жизнь себе и людям https://www.pantechsolutions.net/cpld-fpga-boards/1-wire-interfacing-with-spartan-3-primer
« Последнее редактирование: 24 Дек 2015 [16:13:40] от Василий Вершинин »

andreichk

  • Гость
Re: 33 мегапикселя на ладони
« Ответ #584 : 29 Дек 2015 [17:45:07] »
вести с полей. по предложению Дмитрия , для правильной организации работы сбора урожая в период уборочно-посевного сезона, был применён примитив IOBUF , который как раз являет собой то, что называется ,загнать шину в высокий импенданс, когда она того требует. в результате получилось это:

wire wire_in;
wire wire_out;
wire presense;
wire busy_DS18B20;//
reg [7:0] out_byte_DS18B20;// = 8'h55;test
wire reset = !busy_DS18B20 ? reg13[0] : 1'b0;
wire  read = !busy_DS18B20 ? reg13[1] : 1'b0;
wire write = !busy_DS18B20 ? reg13[2] : 1'b0;
//двунаправленный буфер с переходом в высокоимпендансное состояние
IOBUF ZZ_TOP(
      .T(read),//
      .O(wire_in), //------------------------------------------>----|
      .I(wire_out),//------------------------------------------<--| |
      .IO(T_SENSOR)//LOC = P161 DALLAS DS18B20 THERMOSENSOR--<>   | |
);//                                                              | |
one_wire DALLAS_DS18B20 (  //                                     | |
    .wire_out(wire_out),   //----------------------------------->-| |
    .reset(reset),         //<==сброс термосенсора byte=0x10;       |   
    .read_byte(read),      //<== команда чтения    byte=0x20;       |   
    .write_byte(write),    //<== команда записи    byte=0x40;       |   
    .wire_in(wire_in),     //-----------------------------------<---| 
    .presense(presense),   //--> вывел на ЛЕД - ничего нет                 
    .busy(busy_DS18B20),   //--) а тут чё-то есть                           
    .in_byte(reg12[7:0]),  //<==байт команды для термосенсора               
    .out_byte(out_byte_DS18B20[7:0]), //==>данные температуры ----->|     
    .clk(cc[4])//<== 1.5MHz                                         |     
    ); //                                                           |     
//***************************************************************** |
// ПРИНИМАЕМ ДАННЫЕ С ТЕРМОДАТЧИКА И ОТПРАВЛЯЕМ ИХ В ФОРМУ 0...4096 |
//***************************************************************** |
wire [7:0] data_msb;//                                              |                                         
wire [7:0] data_lsb;//                                              |
MSB_LSB DS18B20_to(                   //                            |
      .clock(c48),                    //Clock                       |
      .data_in(out_byte_DS18B20[7:0]),//<----данные с термосенсора-<|
      .strob_in(cc[4]),               //<----строб с термосенсора
      .LSB(data_lsb),                 //----->гоним в кадр
      .MSB(data_msb)                  //----->гоним в кадр
   );

однако,это не привнесло никаких существенных изменений. в смысле шину-то оно отпускает, но термодатчик по-прежнему ничего не отдаёт обратно. Тогда я начал копаться в модуле термодатчика и обнаружил в нём несколько параметров настроек.
1. длит задержки, там было значение 78 и при тактовой  == 1.5 МГц датчик ничего не отвечал, поэтому я вначале снижал тактовую аж до 150 Гц. Установив тактовую  == 1.5 МГц и увеличив значение counter до 719, я тем самым увеличил задержку до нужных нам 480 мксек и датчик стал отвечать. в другом месте кода ,значение  переменной counter также можно двигать взад-вперёд и тем самым уменьшать или увеличивать конфликтную зону, то есть ту, где амплитуда падает наполовину. при значении == 40, эта зона исчезает вообще, то есть как бы и конфликт исчезает вместе с ней.
 осталось выяснить правильное значение переменной delay, которая так же расчитывается через counter . в оригинале там стоит значение 8. возможно,это значение надо правильно скорректировать, чтобы командо-байты своевременно попадали в микропроц. датчика и он начал отдавать что-то в ответ. пока остаётся просто тупо перебирать.

тут привожу изменённый код обработки 1-wire шины

----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Design Name:
-- Module Name:    one_wire - Behavioral
-- http://we.easyelectronics.ru/plis/1-wire-na-vhdl.html
----------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
       
entity one_wire is
        port ( reset : in std_logic;
                        read_byte : in std_logic;
                        write_byte : in std_logic;
                        wire_out : out std_logic;
                        wire_in : in std_logic;
                        presense : out std_logic;
                        busy : out std_logic;
                        in_byte : in std_logic_vector (7 downto 0);
                        out_byte : out std_logic_vector (7 downto 0);
                        clk : in std_logic );
end one_wire;

architecture a of one_wire is
signal count : std_logic;
signal counter : integer range 0 to 1024;--127;

begin
process (clk)

type finit_state is (start, delay_reset, wire_read_presense, wire_0, wire_write, wire_read, delay );
variable state : finit_state := start;

variable n_bit : integer range 0 to 7;
variable f : std_logic;
begin
if (clk'event and clk = '1') then
case (state) is
        when start => wire_out <= '1';         -- здесь программа посто висит и ждет команд
                                busy <= '0';
                                count <= '0';
                                if (reset = '1') then        -- пришла команда сбросить шину
                                        busy <= '1';
                                        presense <= '0';
                                        state := delay_reset;   -- переходим туда, где эта шина сбрасывается
                                elsif (write_byte = '1') then
                                        f := '0';
                                        busy <= '1';
                                        state := wire_0;
                                elsif (read_byte = '1') then
                                        f := '1';
                                        busy <= '1';
                                        state := wire_0;
                                end if;
                                       
        when delay_reset => wire_out <= '0';     -- сбрасываем шину, т. е. выставляем 0 и ждем 480 мкс
                                count <= '1';
                                if (counter = 719) then          --было78
                                        state := wire_read_presense;
                                        count <= '0';
                                end if;
                       
        when wire_read_presense => wire_out <= '1';--1
                                count <= '1';
                                if (counter = 11) then     -- проверяем ответ от устройства
                                        presense <= not wire_in;
                                end if;
                                if (counter = 44) then         -- было78
                                        state := start;
                                        count <= '0';
                                end if;
                                       
        when wire_0 => wire_out <= '0';                    -- инициируем передачу или прием бита
                                if (f = '0') then
                                        state := wire_write;
                                else
                                        state := wire_read;
                                end if;
                                       
        when wire_write =>
                                if (in_byte(n_bit) = '1') then   -- по-очереди передаем байт
                                        wire_out <= '1';
                                end if;
                                state := delay;
                                                                               
        when wire_read => wire_out <= '1';
                                count <= '1';
                                if (counter = 1) then     
                                        out_byte(n_bit) <= wire_in;   -- считываем бит
                                        count <= '0';
                                        state := delay;
                                end if;
                               
        when delay =>
                                count <= '1';
                                if (counter = 89) then     --было 8. задержка перед приемом или передачей следующего бита
                                        count <= '0';
                                        wire_out <= '1';
                                        if (n_bit = 7) then    -- если все биты приняты/переданы возвращаемся на начало
                                                n_bit := 0;
                                                state := start;
                                        else n_bit := n_bit + 1;
                                                state := wire_0;
                                        end if;
                                end if;
                                                               
end case;
end if;
end process;

-- счетчик, тикает с периодом 6 мкс, нужен для выдерживания временных интервалов
process (clk)
begin
if (count = '0') then
        counter <= 0;
elsif (clk'event and clk = '1') then
        counter <= counter + 1;
end if;
end process;

end architecture;

Оффлайн Дмитрий Бучинский

  • *****
  • Сообщений: 603
  • Благодарностей: 25
  • ex. damian_1
    • Сообщения от Дмитрий Бучинский
Re: 33 мегапикселя на ладони
« Ответ #585 : 30 Дек 2015 [11:08:16] »
...значение  переменной counter также можно двигать взад-вперёд и тем самым уменьшать или увеличивать конфликтную зону, то есть ту, где амплитуда падает наполовину. при значении == 40, эта зона исчезает вообще, то есть как бы и конфликт исчезает вместе с ней...
Вы что-то неправильно делаете при описании моей схемы в верилоге. Эта схема не допускает конфликта в принципе.
Доберусь до дома, посмотрю, нельзя ли средствами Квартуса транслировать графическую схему в верилог или VHDL. Вроде когда то попадалась мне на глаза такая функция.
SW2001, самодельный USB мотофокус, MPCC, колесо DS 2", EOS 350Da, QHY8L @ NEQ6ST eqmod. Гид - кинопроекционник КО-140М ( 140/1.8 ) + DSI-Pro.
Доп: разные телевики + самодельное колесо фильтров с USB + QHY6.
Darkbox - термометр/термостат + пельтье холодильник для съемки правильных дарков.

andreichk

  • Гость
Re: 33 мегапикселя на ладони
« Ответ #586 : 30 Дек 2015 [12:37:32] »
...значение  переменной counter также можно двигать взад-вперёд и тем самым уменьшать или увеличивать конфликтную зону, то есть ту, где амплитуда падает наполовину. при значении == 40, эта зона исчезает вообще, то есть как бы и конфликт исчезает вместе с ней...
Вы что-то неправильно делаете при описании моей схемы в верилоге. Эта схема не допускает конфликта в принципе.
Доберусь до дома, посмотрю, нельзя ли средствами Квартуса транслировать графическую схему в верилог или VHDL. Вроде когда то попадалась мне на глаза такая функция.
конфликт возникает не в ней, а в коде обработки. а именно в этом месте:выделил красным.

Цитата
       when wire_read_presense => wire_out <= '1';
                                count <= '1';
                                if (counter = 22) then     -- проверяем ответ от устройства
                                        presense <= not wire_in;
                                end if;
                                if (counter = 722) then         -- было78
                                        state := start;
                                        count <= '0';
                                end if;
именно тут происходит непонятное подтягивание шины к высокому уровню, хотя по логике работы, шина в этот момент должна быть отпущена

Оффлайн Дмитрий Бучинский

  • *****
  • Сообщений: 603
  • Благодарностей: 25
  • ex. damian_1
    • Сообщения от Дмитрий Бучинский
Re: 33 мегапикселя на ладони
« Ответ #587 : 30 Дек 2015 [14:21:35] »
Выходной буфер однопроводной шины должен иметь вход разрешения передачи: по высокому уровню на входе разрешения буфер включен и передает на пин сигнал со своего сигнального входа. По низкому уровню сигнала разрешения, пин переведен в третье состояние.

На просторах сети было найдено такое (синтаксис верилога я пока не вкурил):

module TRISTATE (e, a, y);
input a, e;
output y;
assign y =e ?a :1'bZ;
endmodule

Тут сигнал 'а' должен быть всегда ноль, разрешающий сигнал 'е' - проинвертированный сигнал, который Вы хотите передать в однопроводную шину.
SW2001, самодельный USB мотофокус, MPCC, колесо DS 2", EOS 350Da, QHY8L @ NEQ6ST eqmod. Гид - кинопроекционник КО-140М ( 140/1.8 ) + DSI-Pro.
Доп: разные телевики + самодельное колесо фильтров с USB + QHY6.
Darkbox - термометр/термостат + пельтье холодильник для съемки правильных дарков.

Оффлайн Дмитрий Бучинский

  • *****
  • Сообщений: 603
  • Благодарностей: 25
  • ex. damian_1
    • Сообщения от Дмитрий Бучинский
Re: 33 мегапикселя на ладони
« Ответ #588 : 30 Дек 2015 [14:27:37] »
Вот графическое изображение, и описание двунаправленного буфера в верилоге (для альтеры, но по идее это не должно быть важно)

module bidir (e, y, a, b);
input a, e;
inout y;
output b;
reg y_int;
wire y, b;
always @(aor e)
begin
if (e == 1'b1)
y_int <= a;
else
y_int <= 1'bz;
end
assign y = y_int;
assign b =y;
endmodule
SW2001, самодельный USB мотофокус, MPCC, колесо DS 2", EOS 350Da, QHY8L @ NEQ6ST eqmod. Гид - кинопроекционник КО-140М ( 140/1.8 ) + DSI-Pro.
Доп: разные телевики + самодельное колесо фильтров с USB + QHY6.
Darkbox - термометр/термостат + пельтье холодильник для съемки правильных дарков.

andreichk

  • Гость
Re: 33 мегапикселя на ладони
« Ответ #589 : 30 Дек 2015 [15:15:51] »
Все эти модули легко заменяются примитивом

Цитата
//двунаправленный буфер с переходом в высокоимпендансное состояние
IOBUF ZZ_TOP(
    .T(read),     //во время чтения шину в Z-состояние
    .IO(T_SENSOR),//LOC = P161 DALLAS DS18B20 THERMOSENSOR--<IOI>       
    .O(wire_in),  //
    .I(wire_out)  //
);//

andreichk

  • Гость
Re: 33 мегапикселя на ладони
« Ответ #590 : 30 Дек 2015 [15:49:00] »
выражение assign y =e ? a : 1'bZ; означает: если е==1, то на выходе y будет а, иначе Z

Оффлайн Дмитрий Бучинский

  • *****
  • Сообщений: 603
  • Благодарностей: 25
  • ex. damian_1
    • Сообщения от Дмитрий Бучинский
Re: 33 мегапикселя на ладони
« Ответ #591 : 30 Дек 2015 [17:44:07] »
Ваша замена не годится ИМХО. Из за нее у Вас конфликт выходов проскакивает.
выражение assign y =e ? a : 1'bZ; означает: если е==1, то на выходе y будет а, иначе Z
Все правильно - Вам надо чтобы 'а' всегда равна нулю, управление шиной через разрешающий сигнал 'е', с инверсией. В итоге получается - если надо выдать из ПЛИС в шину 0, то будет выведен ноль. В любом другом случае шина приводится в третье состояние с подтяжкой к высокому. Пин никогда не принимает состояние лог 1 через внутриПЛИСовские ключи, только через внешнюю подтяжку. Соответственно, конфликта выходов (когда пин выдает лог1 а датчик закорачивает шину в 0) невозможен.

P/S если ПЛИС допускает конфигурирование пина как двунаправленный с открытым коллектором - то нужно так и сделать, это будет самый простой вариант.

SW2001, самодельный USB мотофокус, MPCC, колесо DS 2", EOS 350Da, QHY8L @ NEQ6ST eqmod. Гид - кинопроекционник КО-140М ( 140/1.8 ) + DSI-Pro.
Доп: разные телевики + самодельное колесо фильтров с USB + QHY6.
Darkbox - термометр/термостат + пельтье холодильник для съемки правильных дарков.

andreichk

  • Гость
Re: 33 мегапикселя на ладони
« Ответ #592 : 30 Дек 2015 [18:24:04] »
блин, наконец-то до меня допёрло.... :-\  ресет как доктор прописал.

//двунаправленный буфер с переходом в высокоимпендансное состояние
IOBUF ZZ_TOP(
    .IO(T_SENSOR),//LOC = P161 DALLAS DS18B20 THERMOSENSOR--<IOI>
    .O(wire_in),  //-------------------------------------------->---|
    .T(!wire_out),//во время чтения шину в Z-состояние---------<--| |
    .I(0)         //                                              | |
);//                                                              | |
one_wire DALLAS_DS18B20 (  //                                     | |
    .wire_out(wire_out),   //---------------------------------->--| |
    .reset(reset),         //<==сброс термосенсора byte=0x10;       |   
    .read_byte(read),      //<== команда чтения    byte=0x20;       |   
    .write_byte(write),    //<== команда записи    byte=0x40;       |   
    .wire_in(wire_in),     //-----------------------------------<---| 
    .presense(presense),   //--> вывел на ЛЕД - всё есть               
    .busy(busy_DS18B20),   //--) и тут чё-то есть                           
    .in_byte(reg12[7:0]),  //<==байт команды для термосенсора               
    .out_byte(out_byte_DS18B20[7:0]), //==>данные температуры ----->|     
    .clk(cc[4])//<== 1.5MHz                                         |     
    ); //   



вот и модуль заработал как надо. теперь осталось научиться считывать 2 температурных байта

andreichk

  • Гость
Re: 33 мегапикселя на ладони
« Ответ #593 : 02 Янв 2016 [14:53:06] »
бинго!!!! на просторах инета был найден  модуль для термодатчика на правословном верилоге. настолько хорош, что ему не надо вообще ничего засылать, ресетнул и получил температуру, то есть можно вообще на ресет подавать меандр с 3-х секундной частотой и получать температуру каждые 3 сек. скачать можно тут:
https://github.com/douglaskastle/3S500E/tree/master/verilog/DS18B20

подключение ну просто архипростое
ds18b20_drive onewire (
    .clk(c48),
    .rst_n(cc[17]),
    .one_wire(T_SENSOR),
    .temperature(out_byte_DS18B20[15:0])
    );

andreichk

  • Гость
Re: 33 мегапикселя на ладони
« Ответ #594 : 09 Янв 2016 [19:17:29] »
вторая часть марлезонского балета. датчик правильно отдаёт температуру.

signed char Tbuff[2];//температурный буфер
//*********************************************************************************************
//function  чтение температуры датчика                                                       //
//четыре младших разряда нулевого байта содержат дробную часть результата;
//четыре старших разряда нулевого байта содержат четыре младших разряда целой части результата;
//три младших разряда первого байта содержат оставшиеся три разряда целой части;
//остальные разряды первого байта указывают на знак температуры (+ или -);
//*********************************************************************************************
float ds_read_temperature(void)
{ unsigned char MS,LS;
   float T = 0.0;

     LS = Tbuff[0];//мл.байт
     MS = Tbuff[1];//ст.байт

if ((MS & 0x80)==0){
T=((float)(MS & 0x07) * 256 + (float)LS);
T=T/16.0;
    }else{
T = ((float)(((MS ^ 0xff) & 0x07)) * 256 + 1 + (float)(LS ^ 0xff));
T = -T/16.0;
}
  return T;
}

andreichk

  • Гость
Re: 33 мегапикселя на ладони
« Ответ #595 : 10 Янв 2016 [03:54:00] »
В общем термодатчик подружился со спартаном и теперь живёт с ним самостоятельной жизнью.  в случае перегрева матрицы отключает ШИМ.

reg [15:0]wert = 16'h3fff;//значение длит. импульса для сброса термодатчика
wire takt_out;
imp_wert for_onewire (
    .clk(cc[8]),
    .wert(wert[15:0]), //
    .wert_out(takt_out)//импульс сброса термодатчика
    );
/*================= Термосенсор DALLAS DS18B20 ================================================
0x44 - провести измерение температуры и записать данные в оперативную память
0x4E - записать 3 байта в 3й, 4й и 5й байты оперативной памяти
0xBE - считать последовательно 9 байт оперативной памяти
0x48 - скопировать 3й и 4й байты оперативной памяти в EEPROM
0xB8 - скопировать данные из EEPROM В 3й и 4й байты оперативной памяти
0xB4 - вернет тип питания (0 - паразитное, 1 - внешнее)
Информация об измеренной температуре хранится в оперативной памяти датчика,состоящей из 9 байт.
1 и 2 байты хранят информацию о температуре.
3 и 4 байты хранят соответственно верхний и нижний пределы температуры.
5 и 6 байты зарезервированы.
7 и 8 байты используются для сверхточного измерения температуры.
9 байт хранит помехоустойчивый CRC код предыдущих 8 байт.
*/
wire [15:0] Temp_DS18B20;// = 8'h55;test
ds18b20_drive onewire(
  .clk(c48),
  .rst_n(takt_out),// сброс перед началом каждого кадра
  .one_wire(T_SENSOR),// двунаправленный порт термодатчика DALLAS DS18B20
  .temperature(Temp_DS18B20[15:0])//данные на регулировку тока Пельтье
);
//============================================================================================
//   ВЫЧИСЛЕНИЕ ТЕМПЕРАТУРЫ ДАТЧИКА И ПРЕОБРАЗОВАНИЕ В ДЕСЯТИЧНУЮ ФОРМУ СО ЗНАКОМ
//============================================================================================
reg [15:0]T_int;
always @ (posedge c48)
begin
if ((Temp_DS18B20[15:8] & 8'h80)==0) begin
T_int = ((Temp_DS18B20[15:8] & 8'h07) * 256 + Temp_DS18B20[7:0])/16;
     end else begin
  T_int = (((Temp_DS18B20[15:8] ^ 8'hff) & 8'h07) * 256 + 1 + (Temp_DS18B20[7:0] ^ 8'hff))/16;
  T_int = !T_int;
  end
end
//============================================================================================
//   ЗАЩИТА ОТ ПЕРЕГРЕВА МАТРИЦЫ. ОТКЛЮЧЕНИЕ ШИМ ПРИ ТЕМПЕРАТУРЕ ВЫШЕ 24 ГРАД.
//============================================================================================
wire [15:0]Peltier_wert = (T_int[15:0] > 24) ? 16'hFFFF : (reg2[7:0] << 8 | reg3[7:0]);
PWM to_Peltier (
    .clk(cc[8]), //тут можно подгонять частоту ШИМ для ПЕЛЬТЬЕ
.Temp_Sensor(Temp_DS18B20[15:0]),
    .PWM_in(Peltier_wert[15:0]),//<==ст.мл.бaйт
    .PWM_out(PELTIER)       //==> P181;//ПЕЛЬТЬЕ
    );

andreichk

  • Гость
Re: 33 мегапикселя на ладони
« Ответ #596 : 10 Янв 2016 [14:20:46] »
достигнут невероятно низкий предел охлаждения - почти -13 град. . И это на открытом пространстве при комнатной температуре прим. 22 град.
Думаю , в закрытой камере заморозить до -20 будет не проблема.

Оффлайн Ветеp

  • Новичок
  • *
  • Сообщений: 31
  • Благодарностей: 4
    • Сообщения от Ветеp
Re: 33 мегапикселя на ладони
« Ответ #597 : 10 Янв 2016 [15:13:28] »
достигнут невероятно низкий предел охлаждения - почти -13 град. . И это на открытом пространстве при комнатной температуре прим. 22 град.
Думаю , в закрытой камере заморозить до -20 будет не проблема.

Рекомендую попробовать двухступенчатый модуль TEC2-10204, который дает 50 градусов дельты при комнатных 25 градусах, потребляя при этом 3.5А/8.5В. Я такой использовал в самодельной камере от Рима. Вот тут описание и тесты

andreichk

  • Гость
Re: 33 мегапикселя на ладони
« Ответ #598 : 10 Янв 2016 [15:27:55] »
у него площадь маловата. 20х20 и 30х30. мне надо 30х30 и 40х40 хотябы.пока писал, температура понизилась до -13.25 град... 8)

andreichk

  • Гость
Re: 33 мегапикселя на ладони
« Ответ #599 : 10 Янв 2016 [16:22:10] »
кстати вот занятость камня на данный момент. или вот в Планахеде покрасивше. камень ваще пустой.... :-\
« Последнее редактирование: 10 Янв 2016 [16:34:34] от Andreichk »