Телескопы покупают здесь


A A A A Автор Тема: 33 мегапикселя на ладони  (Прочитано 28597 раз)

0 Пользователей и 1 Гость просматривают эту тему.

Оффлайн Василий Вершинин

  • *****
  • Сообщений: 3 713
  • Благодарностей: 122
  • Per anus ad astra
    • Skype - vasiliy_vershinin
    • Сообщения от Василий Вершинин
Re: 33 мегапикселя на ладони
« Ответ #560 : 17 Дек 2015 [14:55:02] »
Да, как-то так. Но, повторяю, с синтаксисом верилога знаком плохо, поэтому могу ошибаться.

andreichk

  • Гость
Re: 33 мегапикселя на ладони
« Ответ #561 : 18 Дек 2015 [03:41:46] »
блин, ну вроде ж всё сделал правильно, а оно не пашет. может это из за времянок. насколько этот датчик чувствителен к отклонениям частоты?

wire busy_DS18B20;//

wire reset = !busy_DS18B20 ? reg1[4] : 1'b0;
wire  read = !busy_DS18B20 ? reg1[5] : 1'b0;
wire write = !busy_DS18B20 ? reg1[6] : 1'b0;

wire wire_out;
wire presense;
reg [7:0] out_byte_DS18B20;// = 8'h55;test
wire busr = reg1[5] ? T_SENSOR : 1'bz;//датчик отдаёт данные----------->-|
one_wire DALLAS_DS18B20 (  //                                            |
    .wire_out(wire_out),   //--------------------------------------------|---->-|
    .reset(reset),         //<==сброс термосенсора byte=0x10;            |      |
    .read_byte(read),      //<== команда чтения    byte=0x20;            |      |
    .write_byte(write),    //<== команда записи    byte=0x40;            |      |
    .wire_in(busr),        //LOC = P161 DALLAS DS18B20 THERMOSENSOR----<-|      |
    .presense(presense),   //--> вывел на ЛЕД - ничего нет                      |
    .busy(busy_DS18B20),   //--) а тут чё-то есть                               |
    .in_byte(reg12[7:0]),  //<==байт команды для термосенсора                   |
    .out_byte(out_byte_DS18B20[7:0]), //==>данные температуры ------>|          |
    .clk(cc[4])//<== 1.5MHz                                          |          |
    ); //                                                            |          |
assign T_SENSOR = reg1[6] ? wire_out : 1'bz;//датчик принимает команды--------<-|             
wire [7:0] data_msb;//                                               |
wire [7:0] data_lsb;//                                               |
//*****************************************************************  |
// ПРИНИМАЕМ ДАННЫЕ С ТЕРМОДАТЧИКА И ОТПРАВЛЯЕМ ИХ В ФОРМУ 0...4096  |
//*****************************************************************  |
MSB_LSB DS18B20_to(                   //                                       |
.clock(c48),                    //Clock                        |
.data_in(out_byte_DS18B20[7:0]),//<-----данные с термосенсора-<|
.strob_in(!read),               //<-----строб с термосенсора
.LSB(data_lsb),                 //------>гоним в кадр
.MSB(data_msb)                  //------>гоним в кадр
   );
« Последнее редактирование: 18 Дек 2015 [09:49:16] от Andreichk »

Оффлайн Mixa

  • *****
  • Сообщений: 721
  • Благодарностей: 31
    • Сообщения от Mixa
Re: 33 мегапикселя на ладони
« Ответ #562 : 18 Дек 2015 [11:37:22] »
Электрически по шине двусторонний обмен есть? На ресет хоть отвечает?

Оффлайн Василий Вершинин

  • *****
  • Сообщений: 3 713
  • Благодарностей: 122
  • Per anus ad astra
    • Skype - vasiliy_vershinin
    • Сообщения от Василий Вершинин
Re: 33 мегапикселя на ладони
« Ответ #563 : 18 Дек 2015 [12:28:55] »
Verilog это язык сатаны

andreichk

  • Гость
Re: 33 мегапикселя на ладони
« Ответ #564 : 18 Дек 2015 [13:30:12] »
Электрически по шине двусторонний обмен есть? На ресет хоть отвечает?
в одну сторону точно есть. осциллом проверял

andreichk

  • Гость
Re: 33 мегапикселя на ладони
« Ответ #565 : 18 Дек 2015 [13:31:15] »
Verilog это язык сатаны
эх Вася, Вася.......он же православный.... как же это мы с тобой разошлись на этом пути?

Оффлайн Mixa

  • *****
  • Сообщений: 721
  • Благодарностей: 31
    • Сообщения от Mixa
Re: 33 мегапикселя на ладони
« Ответ #566 : 18 Дек 2015 [18:04:46] »
Электрически по шине двусторонний обмен есть? На ресет хоть отвечает?
в одну сторону точно есть. осциллом проверял
А в другую? Ресет процедура-то двусторонняя

andreichk

  • Гость
Re: 33 мегапикселя на ладони
« Ответ #567 : 23 Дек 2015 [16:03:39] »
Электрически по шине двусторонний обмен есть? На ресет хоть отвечает?
вот это есть ответ на ресет или это сатана? питание термодатчика 3.3 вольта, подтяжка ок. 5кОм, если это важно.

Оффлайн Mixa

  • *****
  • Сообщений: 721
  • Благодарностей: 31
    • Сообщения от Mixa
Re: 33 мегапикселя на ладони
« Ответ #568 : 23 Дек 2015 [16:30:03] »
Проседание на половину питающего напряжения похоже на попытку ответа, 5кОм тут погоды не делают, наверху сопротивление такое же, как и внизу, а внизу сопротивление открытого ключа у пытающегося ответить датчика. Ну и ресет от басмастера до спецификации по даташиту не дотягивает, хотя, скорее всего, воспринимается. Но лучше делать по спецификациям. Определенно, шина на прием не переводится. Нужно чинить.

andreichk

  • Гость
Re: 33 мегапикселя на ладони
« Ответ #569 : 23 Дек 2015 [16:34:27] »
может убрать внешнюю подтяжку и засадить внутреннюю, спартанскую?

andreichk

  • Гость
Re: 33 мегапикселя на ладони
« Ответ #570 : 23 Дек 2015 [16:41:34] »
Ну и ресет от басмастера до спецификации по даташиту не дотягивает, хотя, скорее всего, воспринимается.
как не дотягивает? я же там 480мксек выставил, даже чуток более, 488 мксек

Оффлайн Mixa

  • *****
  • Сообщений: 721
  • Благодарностей: 31
    • Сообщения от Mixa
Re: 33 мегапикселя на ладони
« Ответ #571 : 23 Дек 2015 [17:09:35] »
как не дотягивает? я же там 480мксек выставил, даже чуток более, 488 мксек
Я по клеточкам не смотрел, воспринял маркер 438 как ширину импульса.

andreichk

  • Гость
Re: 33 мегапикселя на ладони
« Ответ #572 : 23 Дек 2015 [17:11:46] »
как не дотягивает? я же там 480мксек выставил, даже чуток более, 488 мксек
Я по клеточкам не смотрел, воспринял маркер 438 как ширину импульса.
я так и понял, не, сверху это просто сдвиг от центра. справа снизу там значения промеж курсоров показывает

Оффлайн Mixa

  • *****
  • Сообщений: 721
  • Благодарностей: 31
    • Сообщения от Mixa
Re: 33 мегапикселя на ладони
« Ответ #573 : 23 Дек 2015 [17:13:12] »
может убрать внешнюю подтяжку и засадить внутреннюю, спартанскую?
Я не про это. Я про то, что на выходе спартана активная лог. 1. Выразился иносказательно. Переключение с режима активного на режим входа чинить надо.

andreichk

  • Гость
Re: 33 мегапикселя на ладони
« Ответ #574 : 23 Дек 2015 [17:18:28] »
может убрать внешнюю подтяжку и засадить внутреннюю, спартанскую?
Я не про это. Я про то, что на выходе спартана активная лог. 1. Выразился иносказательно. Переключение с режима активного на режим входа чинить надо.
всмысле, сразу после команды ресета, чтобы чтение включалось?

Оффлайн Mixa

  • *****
  • Сообщений: 721
  • Благодарностей: 31
    • Сообщения от Mixa
Re: 33 мегапикселя на ладони
« Ответ #575 : 23 Дек 2015 [17:30:43] »
Ну да, как в даташите нарисовано. После ресета мастер шину отпускает.

Оффлайн Василий Вершинин

  • *****
  • Сообщений: 3 713
  • Благодарностей: 122
  • Per anus ad astra
    • Skype - vasiliy_vershinin
    • Сообщения от Василий Вершинин
Re: 33 мегапикселя на ладони
« Ответ #576 : 23 Дек 2015 [18:30:44] »
 :facepalm:

andreichk

  • Гость
Re: 33 мегапикселя на ладони
« Ответ #577 : 23 Дек 2015 [18:40:40] »
:facepalm:
Вася молча подивился, повздыхал и так пошёл...... 8)

там в модуле выход есть - presense называется, так вот на нём нифига нет, значит датчик не отвечает ниразу.

Оффлайн Василий Вершинин

  • *****
  • Сообщений: 3 713
  • Благодарностей: 122
  • Per anus ad astra
    • Skype - vasiliy_vershinin
    • Сообщения от Василий Вершинин
Re: 33 мегапикселя на ладони
« Ответ #578 : 23 Дек 2015 [19:42:38] »
Падение до половины часто представляет из себя борьбу двух выходных сигналов - 0 и 1. Вывод ПЛИС в HiZ СРАЗУ после подачи какой либо команды датчику!

andreichk

  • Гость
Re: 33 мегапикселя на ладони
« Ответ #579 : 24 Дек 2015 [00:18:54] »
Падение до половины часто представляет из себя борьбу двух выходных сигналов - 0 и 1. Вывод ПЛИС в HiZ СРАЗУ после подачи какой либо команды датчику!
он туда и уходит согласно логике работы, а высокий уровень появляется от подтягивающего резистора, как мне кажется...