Телескопы покупают здесь


A A A A Автор Тема: 33 мегапикселя на ладони  (Прочитано 28605 раз)

0 Пользователей и 1 Гость просматривают эту тему.

Оффлайн Serj

  • *****
  • Сообщений: 4 606
  • Благодарностей: 95
    • Сообщения от Serj
    • Тверской астроклуб
Re: 33 мегапикселя на ладони
« Ответ #400 : 06 Дек 2015 [23:42:52] »
А что в конце той строки, где байер сбит. Я так понял что это ADCCLK дурит?

пиэс: а сбивается он посреди строки, или на краю?
« Последнее редактирование: 06 Дек 2015 [23:51:53] от Serj »
We have met the enemy and he is us.

andreichk

  • Гость
Re: 33 мегапикселя на ладони
« Ответ #401 : 07 Дек 2015 [00:26:40] »
А что в конце той строки, где байер сбит. Я так понял что это ADCCLK дурит?
пиэс: а сбивается он посреди строки, или на краю?
я не знаю, что там в конце стоит, отыскать место в НЕХ последовательности нереально сложно и всё равно непонятно. цвет сбивается всегда с начала строки и пачкой от одной до нескольких строк. я щас файл кривой положу на диск, кто хотите - поковыряйте, мож проясните чтось

https://drive.google.com/open?id=0B-uW0Otv8WkQNjFHc2lodklIUXc

https://drive.google.com/open?id=0B-uW0Otv8WkQUENRUkswWlpDbmc
« Последнее редактирование: 07 Дек 2015 [00:46:38] от Andreichk »

Оффлайн Майоров Виктор

  • *****
  • Сообщений: 2 397
  • Благодарностей: 181
    • Skype - victor_maiorov
    • Сообщения от Майоров Виктор
    • Видеоскан
Re: 33 мегапикселя на ладони
« Ответ #402 : 07 Дек 2015 [09:44:12] »
А что в конце той строки, где байер сбит. Я так понял что это ADCCLK дурит?
пиэс: а сбивается он посреди строки, или на краю?
я не знаю, что там в конце стоит, отыскать место в НЕХ последовательности нереально сложно и всё равно непонятно. цвет сбивается всегда с начала строки и пачкой от одной до нескольких строк. я щас файл кривой положу на диск, кто хотите - поковыряйте, мож проясните чтось

https://drive.google.com/open?id=0B-uW0Otv8WkQNjFHc2lodklIUXc

https://drive.google.com/open?id=0B-uW0Otv8WkQUENRUkswWlpDbmc


Будет время посмотрю.
Вы все так шустро обсуждаете, что я с трудом поспеваю уследить за ходом мыслей.
Хорошо, что есть движение вперед. Приятно видеть, что не перевелись спецы по этой части.

Я некоторое время назад с одной бандой разговаривал, они раньше камеры на CCD делали. Предложил поучаствовать в некой работе по разработке CCD камеры. Отказались, сказали, что лениво аналоговыми делами заниматься, мы лучше CMOS окучим, там проще, избаловались. Пришлось делать все самим.
« Последнее редактирование: 07 Дек 2015 [09:49:35] от Майоров Виктор »
С наилучшими пожеланиями

Майоров Виктор

Оффлайн Mixa

  • *****
  • Сообщений: 721
  • Благодарностей: 31
    • Сообщения от Mixa
Re: 33 мегапикселя на ладони
« Ответ #403 : 07 Дек 2015 [12:17:50] »
мы лучше CMOS окучим, там проще
Это они не все КМОПы видели ... Есть такие КМОПы, что о ПЗС-ке мечтаешь как о легкой приятной прогулке. Не, ПЗС-ки это на сладкое, никак не КМОПы, не соглашусь.

Оффлайн Майоров Виктор

  • *****
  • Сообщений: 2 397
  • Благодарностей: 181
    • Skype - victor_maiorov
    • Сообщения от Майоров Виктор
    • Видеоскан
Re: 33 мегапикселя на ладони
« Ответ #404 : 07 Дек 2015 [12:33:57] »
мы лучше CMOS окучим, там проще
Это они не все КМОПы видели ... Есть такие КМОПы, что о ПЗС-ке мечтаешь как о легкой приятной прогулке. Не, ПЗС-ки это на сладкое, никак не КМОПы, не соглашусь.
Они убежали на CMOSYS - очень достойные матрицы. Там все просто.
С наилучшими пожеланиями

Майоров Виктор

Оффлайн Дмитрий Бучинский

  • *****
  • Сообщений: 603
  • Благодарностей: 25
  • ex. damian_1
    • Сообщения от Дмитрий Бучинский
Re: 33 мегапикселя на ладони
« Ответ #405 : 07 Дек 2015 [18:53:56] »
Возможные "узкие места":
1. ADCCLK не меандр. Как работает начинка АЦП с таким клоком - один лишь Господь знает. Рекомендую устранить в первую очередь, без этого смысла идти дальше нет*.
2. Неправильный захват ПЛИСом данных c ADC. В смысле - момент захвата слишком близок по времени к моменту, когда АЦП обновляет данные на шине. Уровни не успевают устаканиться, защелка ПЛИС хватает вместо данных переходной процесс, а то и ADCL вместо ADCH, и наоборот.

Эти два момента будут выглядеть как артефакт, начинающийся в любом месте строки, в т.ч. и в начале. Длина артефакта - ХЗ, но интуитивно - не менее чем до конца строки.

3. Вертикальный регистр выдавливает очередную строку в горизонтальный регистр, когда тот либо не в той фазе, либо уровни еще не стабилизировались (а там емкость немаленькая, на перезарядку время надо). Будет выглядеть как артефакт, начинающийся строго с начала строки и длиной не менее полной строки.

* Сделать ADCCLK меандром стОит даже ценой "картинка пропала" - поскольку она пропадает из за проблем с другими таймингами, не с ADCCLK.
Без этого пункта, поиск багов мне напоминает анекдот, где Ходжа Насреддин потерял ключ в темной подворотне, а искал под уличным фонарем, потому что там виднее. Сорри за оффтоп, навеяло...
SW2001, самодельный USB мотофокус, MPCC, колесо DS 2", EOS 350Da, QHY8L @ NEQ6ST eqmod. Гид - кинопроекционник КО-140М ( 140/1.8 ) + DSI-Pro.
Доп: разные телевики + самодельное колесо фильтров с USB + QHY6.
Darkbox - термометр/термостат + пельтье холодильник для съемки правильных дарков.

andreichk

  • Гость
Re: 33 мегапикселя на ладони
« Ответ #406 : 07 Дек 2015 [19:38:33] »
ок, щас буду делать меандр и отчитываться в картинках, что получается. я уже задавал вопрос про 25 МГц и 50 кГц, это соотношение частот важно или не очень? а то у меня щас 1 МГц и 194  Гц.

andreichk

  • Гость
Re: 33 мегапикселя на ладони
« Ответ #407 : 07 Дек 2015 [19:58:12] »
вот такое получилось с меандром, что-то серое с цветными точками в начале кадра, потом сплошная чернота.
куда двигать импульсы?  ссылка https://drive.google.com/open?id=0B-uW0Otv8WkQcms5OFhPLU5XejQ
зы. и ещё одна особенность, обычно файл переписывается секунд 40, а тут за секунду залетает на комп

вот код такта ацп

///===== тактирование ацп. =========================================================
case (buffer)    20,21: CDSCLK1 <= SSC_ADC; //==> импульс фиксации уровня чёрного
               default: CDSCLK1 <= 1'b0;
endcase
case (buffer) 42,43,44: CDSCLK2 <= SSC_ADC; //==> импульс выборки значения яркости
               default: CDSCLK2 <= 1'b0;
endcase
case (buffer)    44,45,46,47,0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19:
                        ADCCLK <= SSC_ADC; //==> HB,LB 16 bit
              default: ADCCLK <= 1'b0;
endcase
///=================================================================================
« Последнее редактирование: 07 Дек 2015 [20:08:21] от Andreichk »

andreichk

  • Гость
Re: 33 мегапикселя на ладони
« Ответ #408 : 07 Дек 2015 [20:11:39] »
для спецов по православному верилогу весь код модуля тайминга матрицы

`timescale 1ns / 1ps
//////////////////////////////////////////////////////////////////////////////////
// Description: Time generator 1MHz for DALSA CCD FTF5066C
//reg1[1] byte=0x02;//подача питания на MAX618
//reg1[2] byte=0x04;//управление накоплением заряда
//reg1[3] byte=0x08;//управление экспозицией
//////////////////////////////////////////////////////////////////////////////////
module FTF5066C_1 /*#(parameter BASE = 0)*/(
  // Clock
  input wire clock,
  input wire [5:0]data_gain,//<=====
  input wire [7:0]data_offset,//<=====
  input wire [7:0]data_msb, 
  input wire [7:0]data_lsb,

  // Config
  input wire CR_IN,//<== перед открытием затвора и началом накопления заряда разряжаем матрицу импульсом CR
  input wire power_MAX618,//<== подача питания на MAX618(reg1[1]) 
  input wire integration, //<== накопление пикселей(reg1[2])
  input wire transport,   //<== перенос заряда в хост(reg1[3])
  // CCD
  output wire PWRTGDRW_MAX618,//LOC = P190;//УПРАВЛЕНИЕ ПИТАНИЕМ MAX618
  output wire V_DRIVE11,      //LOC = P164;//УПРАВЛЕНИЕ ПИТАНИЕМ 1 включить 11 вольт
  output wire PWRTGDRW,       //LOC = P189;//УПРАВЛЕНИЕ ПИТАНИЕМ 2 SN74LVC1T45
  output wire TD_WTHLVL,      //LOC = P102;//ИМПУЛЬСЫ УПРАВЛЕНИЯ EL7156 off-11V, on-8V

//======================CCD DALSA FTF5066C
  output wire CR, //LOC = P103;
 
  output reg TGZ, //LOC = P100; 
  output reg TGY, //LOC = P96; 
  output reg TGX, //LOC = P80;
  output reg TGW, //LOC = P76; 
 
  output reg A1T, //LOC = P99;
  output reg A2T, //LOC = P93;
  output reg A3T, //LOC = P94;
  output reg A4T, //LOC = P97;

  output reg A1B, //LOC = P77;
  output reg A2B, //LOC = P90;
  output reg A3B, //LOC = P89;
  output reg A4B, //LOC = P78;
 
  output reg C1X, //LOC = P68; 
  output reg C2X, //LOC = P69;
  output reg C3X, //LOC = P65;
 
  output reg C1W, //LOC = P62; 
  output reg C2W, //LOC = P63; 
  output reg C3W, //LOC = P64;
 
  output reg SG,  //LOC = P74;   
  output reg RG,  //LOC = P75;

  // ADC
  output reg CDSCLK1,//фиксация уровня
  output reg CDSCLK2,//выборка
  output reg ADCCLK, //тактирование
  // out
  output reg frame,
  output reg header,
  output reg[7:0] header_data,
  output reg header_clock
);

reg  [7:0] buffer;
reg [12:0] pix;// кол-во пикселей в строке
reg [15:0] row;// кол-во строк в кадре
//reg [25:0]cr_; // Charge Reset (CR) pulse on VNS.
reg [25:0]pix_; //

always @ (posedge clock)
begin
    if (buffer == 47)
    begin
      // new tick
if (pix == 5149)// кол-во пикселей в строке 100+9+20+4+4992+4+20 = 5149
      begin
        if (row == 6703) // кол-во строк в кадре 6703
        begin
          // wait
          if (transport == 1'b1)
        begin
            row <= 0;
pix_ <= 0;
//cr_ <= 0;
          end 
        end else begin
          // new line
          pix <= 0;
          row <= row + 1;
          buffer <= 0;
        end
      end else begin
        // new pixel
        pix <= pix + 1;
  pix_ <= pix_ + 1;
  //cr_ <= cr_ + 1;//Charge Reset (CR) pulse on VNS
        buffer <= 0; 
      end
    end else begin
      buffer <= buffer + 1;
    end
end

wire   SSC =     !((pix > 0) && (pix <= 100)); // 0,688
wire   SSC_ADC = !((pix > 0) && (pix <= 96));  // 0,684 пропускаем 4 такта ацп
wire   SSC_CCD = !((pix > 0) && (pix <= 100)); // 0,688

//импульсы вертикального переноса заряда 194 Гц
//при накоплении выставляем 8 вольт, а при переносе пульсируем  - с ампл. 11 вольт
wire TG_A1 = integration ? 1'b0 : transport ?   (pix >= 30) && (pix <= 80) : 1'b0 ;  //188,501
wire    A2 = integration ? 1'b1 : transport ? !((pix >= 20) && (pix <= 50)): 1'b0 ;  //125,313
wire    A3 = integration ? 1'b1 : transport ? !((pix >= 40) && (pix <= 70)): 1'b0 ;  //250,438
wire    A4 = integration ? 1'b1 : transport ? !((pix >= 60) && (pix <= 90)): 1'b0 ;  //375,563

// CR <= !(cr_ >= 0 && cr_ <= 687);     //LOC = P103; Charge Reset (CR) pulse on VNS.
assign CR = CR_IN;                      //LOC = P103; Charge Reset (CR) pulse on VNS.
assign PWRTGDRW_MAX618 = !power_MAX618; //подача питания на драйверы MAX618 pin190

// при накоплении заряда устанавливаем 8 вольт, а при переносе 11 вольт.
assign V_DRIVE11 = integration ;        //8V - накопление заряда, 11V - вертикальный перенос pin164
assign TD_WTHLVL = integration ;//|| SSC; //переключатель напр.11/8 вольт на EL7156.  pin102
assign  PWRTGDRW =   transport ;        //подача питания на драйверы гориз.переноса pin189
 
//====================== CCD DALSA FTF5066C ===================================================
always @ (posedge clock) 
begin
//================== ВЕРТИКАЛЬНЫЙ ПЕРЕНОС 194 Гц ===============================================
   TGZ <= TG_A1;                                     //LOC = P100;Image Clock Transfer Gate(Phase 1)
   TGY <= TG_A1;                                     //LOC = P96;
   TGX <= TG_A1;                                     //LOC = P80;   
   TGW <= TG_A1;                                     //LOC = P76;   
//TOP
   A1T <= TG_A1;                                     //LOC = P99; Image Clock (Phase 1)
   A2T <= A2;                                        //LOC = P93; Image Clock (Phase 2)
   A3T <= A3;                                        //LOC = P94; Image Clock (Phase 3)
   A4T <= A4;                                        //LOC = P97; Image Clock (Phase 4)
//BOT
   A1B <= TG_A1;                                     //LOC = P77; Image Clock (Phase 1)
   A2B <= A2;                                        //LOC = P90; Image Clock (Phase 2)
   A3B <= A3;                                        //LOC = P89; Image Clock (Phase 3)
   A4B <= A4;                                        //LOC = P78; Image Clock (Phase 4)

///================== ГОРИЗОНТАЛЬНЫЙ ПЕРЕНОС 1 МГц  ============================================
    RG <= buffer ==  0 && SSC_CCD;                   //LOC = P75; Reset Gate
SG <= buffer  < 24 && SSC_CCD;                   //LOC = P74; Summing Gate

C1W <=  !((buffer < 16 || buffer > 39) && SSC_CCD);//LOC = P62; Register Clock (Phase 1) 
   C2W <= !(!(buffer <  8 || buffer > 31) && SSC_CCD);//LOC = P63; Register Clock (Phase 2)
   C3W <=     buffer < 24 && SSC_CCD;                 //LOC = P64; Register Clock (Phase 3)
   C1X <=  !((buffer < 16 || buffer > 39) && SSC_CCD);//LOC = P68; Register Clock (Phase 1)
   C2X <= !(!(buffer <  8 || buffer > 31) && SSC_CCD);//LOC = P69; Register Clock (Phase 2)
   C3X <=     buffer < 24 && SSC_CCD;                 //LOC = P65; Register Clock (Phase 3) 
///===============================================================================================
          frame <= SSC; //SSC
header_clock <= buffer == 0 && pix >= 40 && pix <= 51;
            header <= pix >= 40  && pix <= 51; //8 byte 380-391
///===============================================================================================      
  case (pix)
            40: header_data <= 8'h01;                    //01 заголовок маркера
            41: header_data <= 8'h02;                    //02 заголовок маркера
         42: header_data <= row[15:8];                //ст.байт номер строки
         43: header_data <= row[7:0];                 //мл.байт номер строки
         44: header_data <= data_msb[7:0];            //ст.байт Т.Пельтье
            45: header_data <= data_lsb[7:0];            //мл.байт Т.Пельтье
            46: header_data <= data_gain[5:0];           //усиление АЦП
            47: header_data <= data_offset[7:0];         //смещение АЦП
     default: header_data <= 8'h00;
  endcase
///===== тактирование ацп. =========================================================
case (buffer)    20,21: CDSCLK1 <= SSC_ADC; //==> импульс фиксации уровня чёрного
               default: CDSCLK1 <= 1'b0;
endcase
case (buffer) 42,43,44: CDSCLK2 <= SSC_ADC; //==> импульс выборки значения яркости
               default: CDSCLK2 <= 1'b0;
endcase
//case (buffer)
               case (buffer)    44,45,46,47,0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19:
                        ADCCLK <= SSC_ADC; //==> HB,LB 16 bit
              default: ADCCLK <= 1'b0;
endcase
///=================================================================================
  end

initial
       begin
           buffer = 47;
              pix = 5149;//
              row = 6703;
  pix_ = 0;
//cr_ = 0;
   end

endmodule

Оффлайн Дмитрий Бучинский

  • *****
  • Сообщений: 603
  • Благодарностей: 25
  • ex. damian_1
    • Сообщения от Дмитрий Бучинский
Re: 33 мегапикселя на ладони
« Ответ #409 : 07 Дек 2015 [22:43:18] »
ок, щас буду делать меандр и отчитываться в картинках, что получается. я уже задавал вопрос про 25 МГц и 50 кГц, это соотношение частот важно или не очень? а то у меня щас 1 МГц и 194  Гц.
А причем тут вообще частоты???
Принцип такой - вертикальный конвейер делает один цикл, выпихивает очередную строку в горизонтальный конвейер, который в момент переноса заторможен и правильно сфазирован. Затем горизонтальный конвейер попиксельно толкает заряды (все пиксели, включая передние и задние dummy)в выходное устройство, а вертикальный конвейер ждет пока горизонтальный не освободится. Затем всё повторяется до полной вычитки кадра. Частота вертикального конвейера является производной от скорости работы горизонтального конвейера, ее не нужно задавать явно.
SW2001, самодельный USB мотофокус, MPCC, колесо DS 2", EOS 350Da, QHY8L @ NEQ6ST eqmod. Гид - кинопроекционник КО-140М ( 140/1.8 ) + DSI-Pro.
Доп: разные телевики + самодельное колесо фильтров с USB + QHY6.
Darkbox - термометр/термостат + пельтье холодильник для съемки правильных дарков.

andreichk

  • Гость
Re: 33 мегапикселя на ладони
« Ответ #410 : 07 Дек 2015 [22:52:52] »
ну я специально и не задаю, просто так получается щас. горизонтально пиксели с частотой 1 МГц перемещаются, а строки  - 194 Гц, просто могу их и поширше сделать или поуже. если реально соотношение между вертикальным сдвигом и горизонтальным неважно, то тогда оставлю как есть.

Оффлайн Дмитрий Бучинский

  • *****
  • Сообщений: 603
  • Благодарностей: 25
  • ex. damian_1
    • Сообщения от Дмитрий Бучинский
Re: 33 мегапикселя на ладони
« Ответ #411 : 07 Дек 2015 [23:27:35] »
ну я специально и не задаю, просто так получается щас. горизонтально пиксели с частотой 1 МГц перемещаются, а строки  - 194 Гц, просто могу их и поширше сделать или поуже. если реально соотношение между вертикальным сдвигом и горизонтальным неважно, то тогда оставлю как есть.
В каком ПО пишите проект?
SW2001, самодельный USB мотофокус, MPCC, колесо DS 2", EOS 350Da, QHY8L @ NEQ6ST eqmod. Гид - кинопроекционник КО-140М ( 140/1.8 ) + DSI-Pro.
Доп: разные телевики + самодельное колесо фильтров с USB + QHY6.
Darkbox - термометр/термостат + пельтье холодильник для съемки правильных дарков.

andreichk

  • Гость
Re: 33 мегапикселя на ладони
« Ответ #412 : 07 Дек 2015 [23:31:08] »
ну я специально и не задаю, просто так получается щас. горизонтально пиксели с частотой 1 МГц перемещаются, а строки  - 194 Гц, просто могу их и поширше сделать или поуже. если реально соотношение между вертикальным сдвигом и горизонтальным неважно, то тогда оставлю как есть.
В каком ПО пишите проект?
XILINX ISE14.7 это код для ФПГА спартан500, и visual Studio2010  приложение для управления из винды

Оффлайн Дмитрий Бучинский

  • *****
  • Сообщений: 603
  • Благодарностей: 25
  • ex. damian_1
    • Сообщения от Дмитрий Бучинский
Re: 33 мегапикселя на ладони
« Ответ #413 : 08 Дек 2015 [00:01:15] »
Вы можете прогнать проект в симуляторе и скинуть мне результат в виде картинки-осциллограммы?
Мне хочется глянуть кусок, начиная за пару циклов (горизонтального конвейера) до момента переноса строки из вертикального в горизонтальный регистр и несколько циклов сразу после переноса.
Конкретно по сигналам:
Вертикальные фазы.
Горизонтальные фазы.
Сигналы SG, RG.
Сигналы тактирования АЦП - CDSCLK1/2, ADCCLK.
Стробы защелки данных ADCL, ADCH в ПЛИС.

P/S Фрагмент желательно не с начала, а где-то с середины кадра.
В качестве линейки времени, неплохо бы добавить GCLK - глобальный клок ПЛИСа.
P/P/S Если GCLK будет слишком "мелким", то вместо него лучше показать клок, которым тактируется весь блок управления ПЗС.
« Последнее редактирование: 08 Дек 2015 [00:09:44] от Дмитрий Бучинский »
SW2001, самодельный USB мотофокус, MPCC, колесо DS 2", EOS 350Da, QHY8L @ NEQ6ST eqmod. Гид - кинопроекционник КО-140М ( 140/1.8 ) + DSI-Pro.
Доп: разные телевики + самодельное колесо фильтров с USB + QHY6.
Darkbox - термометр/термостат + пельтье холодильник для съемки правильных дарков.

andreichk

  • Гость
Re: 33 мегапикселя на ладони
« Ответ #414 : 08 Дек 2015 [00:11:27] »
вот тут видно импульсы вертикального переноса

andreichk

  • Гость
Re: 33 мегапикселя на ладони
« Ответ #415 : 08 Дек 2015 [00:14:37] »
тут растянул до клока  матрицы

andreichk

  • Гость
Re: 33 мегапикселя на ладони
« Ответ #416 : 08 Дек 2015 [00:19:55] »
Цитата
Стробы защелки данных ADCL, ADCH в ПЛИС.
вот с этим мне непонятно, специально таких сигналов я не формировал. если честно я даже не представляю, куда их впихнуть. данные с ацп считываются по трём клокам и всё собственно. больше никаких защёлок нет.
Очевидно ADCCLK и является той самой защёлкой,

andreichk

  • Гость
Re: 33 мегапикселя на ладони
« Ответ #417 : 08 Дек 2015 [00:21:13] »
я щас с меандром сделаю картинки

Оффлайн Дмитрий Бучинский

  • *****
  • Сообщений: 603
  • Благодарностей: 25
  • ex. damian_1
    • Сообщения от Дмитрий Бучинский
Re: 33 мегапикселя на ладони
« Ответ #418 : 08 Дек 2015 [00:25:42] »
По 1й картинке - понятно что там куча фаз на каждую секцию ПЗС, но поскольку они запаралелены - их можно скрыть, чтобы не загромождали график, оставив только 4 значащих сигнала вертикальных и три горизонтальных фаз.
Также картинку лучше растянуть в районе паузы на 600й мкс, так чтобы в "кадр попал" интервал с 500ю по 700ю микросекунду.
SW2001, самодельный USB мотофокус, MPCC, колесо DS 2", EOS 350Da, QHY8L @ NEQ6ST eqmod. Гид - кинопроекционник КО-140М ( 140/1.8 ) + DSI-Pro.
Доп: разные телевики + самодельное колесо фильтров с USB + QHY6.
Darkbox - термометр/термостат + пельтье холодильник для съемки правильных дарков.

Оффлайн Дмитрий Бучинский

  • *****
  • Сообщений: 603
  • Благодарностей: 25
  • ex. damian_1
    • Сообщения от Дмитрий Бучинский
Re: 33 мегапикселя на ладони
« Ответ #419 : 08 Дек 2015 [00:28:58] »
По второй картинке - временной интервал увеличьте чтобы влезло штук 5-6 циклов.
Лишние фазы выкиньте.
Если нет явно назначенных стробов - выведите в график содержимое регистров, куда защелкиваются ADCH/L.
SW2001, самодельный USB мотофокус, MPCC, колесо DS 2", EOS 350Da, QHY8L @ NEQ6ST eqmod. Гид - кинопроекционник КО-140М ( 140/1.8 ) + DSI-Pro.
Доп: разные телевики + самодельное колесо фильтров с USB + QHY6.
Darkbox - термометр/термостат + пельтье холодильник для съемки правильных дарков.