Телескопы покупают здесь


A A A A Автор Тема: 33 мегапикселя на ладони  (Прочитано 28616 раз)

0 Пользователей и 2 Гостей просматривают эту тему.

Оффлайн krussh

  • *****
  • Сообщений: 2 460
  • Благодарностей: 112
  • http://www.soulfulbits.com/
    • Сообщения от krussh

andreichk

  • Гость
Re: 33 мегапикселя на ладони
« Ответ #201 : 16 Июн 2015 [22:43:27] »
ок, будем работать в направлении The shortest exposure you can get away with is 5 seconds. 8)

andreichk

  • Гость
Re: 33 мегапикселя на ладони
« Ответ #202 : 13 Июл 2015 [19:56:42] »
я вот чего подумал. если у нас всё таки получится, то кадры будут жутко огроменные, аж 4992х6668 пикселей, чем их обрабатывать то? регистакс такие огромные файлы просто не переваривает..... :-\
на картинке мазня с затвором без обьектива.

andreichk

  • Гость
Re: 33 мегапикселя на ладони
« Ответ #203 : 14 Июл 2015 [23:12:11] »
Ура!!! есть первая картинка. зарезанная конечно и  не в фокусе, так как всё практически на коленке держится, но всё же картинка. А это значит - движемся в правильном направлении. Ура товарищи !!!!

Оффлайн Serj

  • *****
  • Сообщений: 4 606
  • Благодарностей: 95
    • Сообщения от Serj
    • Тверской астроклуб
Re: 33 мегапикселя на ладони
« Ответ #204 : 14 Июл 2015 [23:56:33] »
Молоток!
We have met the enemy and he is us.

Starк

  • Гость
Re: 33 мегапикселя на ладони
« Ответ #205 : 15 Июл 2015 [12:59:05] »
А какой смысл в этом всем,  результат будет лучше чем у любительских камер?

andreichk

  • Гость
Re: 33 мегапикселя на ладони
« Ответ #206 : 15 Июл 2015 [19:15:06] »
А какой смысл в этом всем,  результат будет лучше чем у любительских камер?
смысл не сойти с ума, не свихнуться с катушек, не умереть от скуки, короче сами понимаете, пока заноза сидит в заднице - она чешется......или по-вашему должен быть какой-то сакральный смысл?

andreichk

  • Гость
Re: 33 мегапикселя на ладони
« Ответ #207 : 15 Июл 2015 [23:37:44] »
продолжаем борьбу со скукой

andreichk

  • Гость
Re: 33 мегапикселя на ладони
« Ответ #208 : 26 Июл 2015 [14:09:09] »
путём всяко-разных извращений с программированием спартана , удалось выровнять разнояркость пикселей в темновом кадре. Однако теперь наружу вылезло что-то другое кривое в виде каких-то волнистых кривых. правда, они заметны только при сильном увеличении кадра, а так у меня на экран влезает только 20% от оригинального размера.
нижняя картинка слегка обработана, повёрнута на 90° и уменьшена.
что делать с вертикальными полосами - ума не приложу откуда они лезут....закругления по краям - это из за того, что кадр не влезает в 2-х дюймовый фокусер.
« Последнее редактирование: 26 Июл 2015 [15:15:53] от Andreichk »

andreichk

  • Гость
Re: 33 мегапикселя на ладони
« Ответ #209 : 28 Июл 2015 [23:32:15] »
есть цвет. кривой, местами, но цвет. 8)

Оффлайн Serj

  • *****
  • Сообщений: 4 606
  • Благодарностей: 95
    • Сообщения от Serj
    • Тверской астроклуб
Re: 33 мегапикселя на ладони
« Ответ #210 : 28 Июл 2015 [23:53:06] »
Почему так? При считывании пиксели теряются?
We have met the enemy and he is us.

andreichk

  • Гость
Re: 33 мегапикселя на ладони
« Ответ #211 : 29 Июл 2015 [00:02:40] »
 пока непонятно, может тактирование матрицы не совсем верное, может кипресс косячит, может усб кабель плохой.....ищем.... 8)

andreichk

  • Гость
Re: 33 мегапикселя на ладони
« Ответ #212 : 04 Авг 2015 [00:11:55] »
оказывается, полоски можно заутюжить, правда цвет куда-то пропал совсем...

Оффлайн Алексей Юдин

  • *****
  • Сообщений: 28 778
  • Благодарностей: 1124
  • Так-с, где тут у Вас Кровавое Мясное Бодалово?
    • Сообщения от Алексей Юдин
Re: 33 мегапикселя на ладони
« Ответ #213 : 04 Авг 2015 [00:38:39] »
Эх, видел я уже "программироване ПЛИС методом тыка" на старой работе, надеялся что в любительской среде это не повторится, но видать не судьба...

andreichk

  • Гость
Re: 33 мегапикселя на ладони
« Ответ #214 : 04 Авг 2015 [00:42:26] »
да ладно тебе, скоро Макс печатные платы получит, вот тогда и начнётся развлекуха, а пока это я так, плюшечками балуюсь

andreichk

  • Гость
Re: 33 мегапикселя на ладони
« Ответ #215 : 04 Авг 2015 [00:47:53] »
кстати , где найти на русском нормальный учебник по ПЛИС ? можно бумажный, но чтоб там было всё пропрограмирвание не методом тыка. читать 1000-страничные даташиты на английском уже порядком поднадоело...

andreichk

  • Гость
Re: 33 мегапикселя на ладони
« Ответ #216 : 04 Авг 2015 [00:55:20] »
а чтоб тебе не было совсем скучно, предлагаю вот с этим поразбираться.

`timescale 1ns / 1ps
//////////////////////////////////////////////////////////////////////////////////
// Description: Time generator for DALSA CCD FTF5066C
//reg1[1] byte=0x02;//подача питания на MAX618
//reg1[2] byte=0x04;//управление накоплением заряда
//reg1[3] byte=0x08;//управление экспозицией
//////////////////////////////////////////////////////////////////////////////////
module FTF5066C /*#(parameter BASE = 0)*/(
  // Clock
  input wire clock,
  input wire [5:0]data_gain,//<=====
  input wire [7:0]data_offset,//<=====
  input wire [7:0]data_msb, 
  input wire [7:0]data_lsb,

  // Config
  input wire CR_IN,//<== перед открытием затвора и началом накопления заряда разряжаем матрицу импульсом CR
  input wire power_MAX618,//<== подача питания на MAX618(reg1[1]) 
  input wire integration, //<== накопление пикселей(reg1[2])
  input wire transport,   //<== перенос заряда в хост(reg1[3])
  // CCD
  output  PWRTGDRW_MAX618,//LOC = P190;//УПРАВЛЕНИЕ ПИТАНИЕМ MAX618
  output  V_DRIVE11,      //LOC = P164;//УПРАВЛЕНИЕ ПИТАНИЕМ 1 включить 11 вольт
  output  PWRTGDRW,       //LOC = P189;//УПРАВЛЕНИЕ ПИТАНИЕМ 2 SN74LVC1T45
  output  TD_WTHLVL,      //LOC = P102;//ИМПУЛЬСЫ УПРАВЛЕНИЯ EL7156 off-11V, on-8V

//======================CCD DALSA FTF5066C
  output  CR,  //LOC = P103;
 
  output reg TGZ, //LOC = P100; 
  output reg TGY, //LOC = P96; 
  output reg TGX, //LOC = P80;
  output reg TGW, //LOC = P76; 
 
  output reg A1T, //LOC = P99;
  output reg A2T, //LOC = P93;
  output reg A3T, //LOC = P94;
  output reg A4T, //LOC = P97;

  output reg A1B, //LOC = P77;
  output reg A2B, //LOC = P90;
  output reg A3B, //LOC = P89;
  output reg A4B, //LOC = P78;
 
  output reg RG,  //LOC = P75;
  output reg SG,  //LOC = P74;
 
  output reg C1X, //LOC = P68; 
  output reg C2X, //LOC = P69;
  output reg C3X, //LOC = P65;
 
  output reg C1W, //LOC = P62; 
  output reg C2W, //LOC = P63; 
  output reg C3W, //LOC = P64;
  // ADC
  output reg CDSCLK1,
  output reg CDSCLK2,
  output reg ADCCLK,
  // out
  output reg frame,
  output reg header,
  output reg[7:0] header_data,
  output reg header_clock
);

reg  [7:0] buffer;
reg [12:0] pix;// кол-во пикселей в строке
reg [15:0] row;// кол-во строк в кадре
//reg [25:0]cr_; // Charge Reset (CR) pulse on VNS.

always @ (posedge clock)
begin
    if (buffer == 15)
    begin
      // new tick
if (pix == 5737)// кол-во пикселей в строке 688+9+20+4+4992+4+20 = 5737
      begin
        if (row == 6703) // кол-во строк в кадре 6703
        begin
          // wait
          if (transport == 1'b1)
        begin
            row <= 0;
//cr_ <= 0;
          end 
        end else begin
          // new line
          pix <= 0;
          row <= row + 1;
          buffer <= 0;
        end
      end else begin
        // new pixel
        pix <= pix + 1;
  //cr_ <= cr_ + 1;//Charge Reset (CR) pulse on VNS
        buffer <= 0; 
      end
    end else begin
      buffer <= buffer + 1;
    end
end

wire   SSC =     !((pix > 0) && (pix <= 688));  //  0,688
wire   SSC_ADC = !((pix > 0) && (pix <= 684));  //  0,684 пропускаем 4 такта ацп
wire   SSC_CCD = !((pix > 0) && (pix <= 688));  //  0,688

//импульсы вертикального переноса заряда 50кГц
//при накоплении выставляем 8 вольт, а при переносе пульсируем  - с ампл. 11 вольт
wire TG_A1 = integration ? 1'b0 : transport ?   (pix >= 188) && (pix <= 501)  : 1'b0 ;  //188,501
wire    A2 = integration ? 1'b1 : transport ? !((pix >= 125) && (pix <= 313)) : 1'b0 ;  //125,313
wire    A3 = integration ? 1'b1 : transport ? !((pix >= 250) && (pix <= 438)) : 1'b0 ;  //250,438
wire    A4 = integration ? 1'b1 : transport ? !((pix >= 375) && (pix <= 563)) : 1'b0 ;  //375,563

// CR <= !(cr_ >= 0 && cr_ <= 687);     //LOC = P103; Charge Reset (CR) pulse on VNS.
assign CR = CR_IN;                      //LOC = P103; Charge Reset (CR) pulse on VNS.
assign PWRTGDRW_MAX618 = !power_MAX618; //подача питания на драйверы MAX618 pin190

// при накоплении заряда устанавливаем 8 вольт, а 11 вольт гасим до 0.
assign V_DRIVE11 = integration && SSC;           //0V - накопление заряда, 11V - вертикальный перенос pin164
assign TD_WTHLVL = integration && SSC;           //переключатель напр.11/8 вольт на EL7156.           pin102
assign  PWRTGDRW =   transport && SSC;           //подача питания на драйверы гориз.переноса pin189
 
//====================== CCD DALSA FTF5066C ===================================================
always @ (posedge clock) 
begin
//================== ВЕРТИКАЛЬНЫЙ ПЕРЕНОС 50 кГц ==============================================
   TGZ <= TG_A1;                              //LOC = P100; Image Clock Transfer Gate (Phase 1)
   TGY <= TG_A1;                              //LOC = P96;
   TGX <= TG_A1;                              //LOC = P80;   
   TGW <= TG_A1;                              //LOC = P76;   
//TOP
   A1T <= TG_A1;                              //LOC = P99; Image Clock (Phase 1)
   A2T <= A2;                                 //LOC = P93; Image Clock (Phase 2)
   A3T <= A3;                                 //LOC = P94; Image Clock (Phase 3)
   A4T <= A4;                                 //LOC = P97; Image Clock (Phase 4)
//BOT
   A1B <= TG_A1;                              //LOC = P77; Image Clock (Phase 1)
   A2B <= A2;                                 //LOC = P90; Image Clock (Phase 2)
   A3B <= A3;                                 //LOC = P89; Image Clock (Phase 3)
   A4B <= A4;                                 //LOC = P78; Image Clock (Phase 4)

///================== ГОРИЗОНТАЛЬНЫЙ ПЕРЕНОС 25МГц  ============================================
   RG <= buffer == 0 && SSC_CCD;                    //LOC = P75; Reset Gate
   SG <= buffer  < 8 && SSC_CCD;                    //LOC = P74; Summing Gate 
// LEFT (SINGLE OUTPUT Left C1 C2 C3)
//RIGHT (SINGLE OUTPUT Right C2 C1 C3) 
  C1W <= !( (buffer < 5 || buffer > 12) && SSC_CCD); //LOC = P62; Register Clock (Phase 1)
  C2W <= !(!(buffer < 2 || buffer > 9) && SSC_CCD); //LOC = P63; Register Clock (Phase 2)   
  C3W <=     buffer < 8 && SSC_CCD;                 //LOC = P64; Register Clock (Phase 3)
 
  C1X <= !( (buffer < 5 || buffer > 12) && SSC_CCD); //LOC = P68; Register Clock (Phase 1)
  C2X <= !(!(buffer < 2 || buffer > 9) && SSC_CCD); //LOC = P69; Register Clock (Phase 2)   
  C3X <=     buffer < 8 && SSC_CCD;                 //LOC = P65; Register Clock (Phase 3)
//===============================================================================================
//===============================================================================================      
   frame <= SSC; //SSC
header_clock <= (buffer == 0 || buffer == 1) && !SSC;   
   header <= (pix >= 380) && (pix <= 387);    //8bit 5380-5387
  case (pix)
    380: header_data <= 8'h01;                //55 заголовок маркера
    381: header_data <= 8'h02;                //AA заголовок маркера
382: header_data <= row[15:8];            //ст.байт номер строки
383: header_data <= row[7:0];             //мл.байт номер строки
384: header_data <= data_msb[7:0];        //ст.байт Т.Пельтье
    385: header_data <= data_lsb[7:0];        //мл.байт Т.Пельтье
    386: header_data <= data_gain[5:0];       //усиление АЦП
    387: header_data <= data_offset[7:0];     //смещение АЦП
  endcase
 
 //===== тактирование ацп. =========================================================
   CDSCLK1 <=  buffer ==  2 && SSC_ADC;                   //==> импульс фиксации
   CDSCLK2 <= (buffer == 14 || buffer == 15) && SSC_ADC;  //==> импульс выборки
    ADCCLK <= (buffer ==  0 || buffer ==  1) && SSC_ADC;  // HB,LB 16 bit 
 //=================================================================================
 
  end

 
initial
       begin
           buffer = 15;
              pix = 5737;//
              row = 6703;
  //cr_ = 0;
   end

endmodule

andreichk

  • Гость
Re: 33 мегапикселя на ладони
« Ответ #217 : 06 Сен 2015 [18:07:03] »
Народ, кому из вас я на мыло скидывал файлы на проект KAF1301 ? Отзовитесь битте.

Оффлайн ROVIAN

  • Модератор
  • *****
  • Сообщений: 63 358
  • Благодарностей: 1278
  • RV6LOT Icom IC-775DSP
    • Сообщения от ROVIAN
    • Астрономия в Ростове-на-Дону
Re: 33 мегапикселя на ладони
« Ответ #218 : 07 Сен 2015 [05:10:54] »
Отзовитесь битте.
Кто ж отзовётся, ежли бить будут.  ;D
80ED+SW0.85/HEQ5/533ММ/QHY5-II,
203/1000/HEQ5/МРСС/183ММ/QHY5-II

Н 150,200,300,  МСТ-180 Hand made  165,215,300,317,450
Canon 550D, 550DA, 1100DA, 5DM2, 5DM4,  (SY 14/2.8, SY 135/2.0, EF 70-200/4L, )  

andreichk

  • Гость
Re: 33 мегапикселя на ладони
« Ответ #219 : 21 Сен 2015 [19:36:12] »
ура, удалось восстановить по памяти утерянный код. Во всяком случае, его основную часть и подключить камеру в компу. также, камера может уже делать фотки.