Телескопы покупают здесь


A A A A Автор Тема: 33 мегапикселя на ладони  (Прочитано 28584 раз)

0 Пользователей и 1 Гость просматривают эту тему.

Оффлайн Alex1958

  • *****
  • Забанен!
  • Сообщений: 3 537
  • Благодарностей: 177
  • постоянно под постоянным наблюдением,:-))
    • Сообщения от Alex1958
Re: 33 мегапикселя на ладони
« Ответ #780 : 23 Июл 2016 [10:01:54] »
Хорошая камера получается ;D
Луна, конечно впечатляет, но... эти 33 сделаны только под планеты или Deepsky подразумевается то же?
Хотелось бы посмотреть, если есть на чём снимать. :). Пиксель 7.2 и фулфрейм х2, это ж какой объектив под неё подойдёт, что бы во всей красе :)...
Крым-моя Родина, Севастополь -город русских моряков. Донбасс-нет войне.

andreichk

  • Гость
Re: 33 мегапикселя на ладони
« Ответ #781 : 23 Июл 2016 [11:07:54] »
не знаю пока насчёт дипскай и прочего на данный момент стоит задача добиться стабильности кадров, чтоб без брака, а пока что от 10 до 30% с нарушением цвета. Снимаю то, что видно в окно, то есть луну,да окрестности.

Оффлайн Alex1958

  • *****
  • Забанен!
  • Сообщений: 3 537
  • Благодарностей: 177
  • постоянно под постоянным наблюдением,:-))
    • Сообщения от Alex1958
Re: 33 мегапикселя на ладони
« Ответ #782 : 23 Июл 2016 [11:53:28] »
не знаю пока насчёт дипскай и прочего на данный момент стоит задача добиться стабильности кадров, чтоб без брака, а пока что от 10 до 30% с нарушением цвета. Снимаю то, что видно в окно, то есть луну,да окрестности.

Понятно, удачи.  Ну по цвету, можно пренебречь и снимать с фильтрами.  Интересно, какие-нибудь сетапы были сделаны на основе этой матрицы?
Крым-моя Родина, Севастополь -город русских моряков. Донбасс-нет войне.

andreichk

  • Гость
Re: 33 мегапикселя на ладони
« Ответ #783 : 23 Июл 2016 [12:19:14] »
среднеформатники MAMIYA
« Последнее редактирование: 23 Июл 2016 [12:25:12] от Andreichk »

andreichk

  • Гость
Re: 33 мегапикселя на ладони
« Ответ #784 : 24 Июл 2016 [20:50:32] »
залез под кровать и нашёл там какую-то рассеивающую линзу диаметром 30мм, и даже с каким-то просветляющим покрытием. На вид совсем нецарапанная, откуда взялась  - не помню, но в качестве барлухи подошла как родная. Выкинул километровую трубку и посадил камеру вплотную к МАКу. получилось вот так:


andreichk

  • Гость
Re: 33 мегапикселя на ладони
« Ответ #785 : 25 Июл 2016 [08:01:32] »
ну и наконец, ставшая уже традиционной, луна, размером 3550 пикселей. Думаю, на этом размере и остановимся, пока не наступило желание вытянуть на весь кадр самый маленький лунный кратер.... 8)


andreichk

  • Гость
Re: 33 мегапикселя на ладони
« Ответ #786 : 26 Июл 2016 [20:41:38] »
прикольный график... 8)


andreichk

  • Гость
Re: 33 мегапикселя на ладони
« Ответ #787 : 08 Янв 2017 [16:17:01] »
не прошло и трёх лет с момента написания модуля инициализации АЦП, а косяк, из за которого появлялись негативные кадры совершено случайно устранился только сегодня.....короче говоря, все кадры с матрицы теперь получаются совершенно правильными, в смысле позитивными, в смысле такими, какими должны быть.....

`timescale 1ms / 1ns
//////////////////////////////////////////////////////////////////////////////////
// Company:
// Engineer: 
// Create Date: 31.08.2013 20:38:09
// Module Name: adc_spi.   настройка ацп по спи
//////////////////////////////////////////////////////////////////////////////////
module adc_spi(
  // Clock
  input wire clock,
  input wire init_en,
  input wire [5:0]Gain_Reg,  // = 6'h3F;
  input wire [7:0]Offset_Reg,// = 8'hFF;
  // ADC Out
  output  reg adc_sload,
  output wire adc_sclk,
  output  reg adc_sdata
);
reg [7:0] p;
reg[5:0] num;

always @ ( posedge clock )
 
  begin
       if(!init_en)
begin
p <= 0; num <= 6'd0;//[b]обнуление переменных перед началом счёта байт инициализации[/b]
                   end else begin
                      case (p)
                                 0,17,34,51: adc_sload <= 1'b1;
                                 default: adc_sload <= 1'b0;//
                      endcase

                    p <= (p == 51) ? 51 : p + 1;
   
if(!adc_sload) begin
                       num <= num + 1'b1;
case (num)
6'd8:  adc_sdata <= 1'b1;          //bit10 Internal VREF
6'd10: adc_sdata <= 1'b1;          //bit12 CDS 1 CH Red
//===========================================================================
6'd17: adc_sdata <= 1'b1;          //bit20 addr Gain_Reg_
6'd25: adc_sdata <= Gain_Reg[5];    //bit28
6'd26: adc_sdata <= Gain_Reg[4];    //bit29
6'd27: adc_sdata <= Gain_Reg[3];    //bit30
6'd28: adc_sdata <= Gain_Reg[2];    //bit31
6'd29: adc_sdata <= Gain_Reg[1];    //bit32
6'd30: adc_sdata <= Gain_Reg[0];    //bit33
//==========================================================================
6'd32: adc_sdata <= 1'b1;          //bit36 addr Offset_Reg_
6'd34: adc_sdata <= 1'b1;          //bit38 addr Offset_Reg_
   //6'd38: adc_sdata <= 1'b0;//Offset_Reg[7];//bit42 знак . 1'b1 -, 1'b0 +
6'd39: adc_sdata <= Offset_Reg[7]; //bit43
6'd40: adc_sdata <= Offset_Reg[6]; //bit44
6'd41: adc_sdata <= Offset_Reg[5];  //bit45
6'd42: adc_sdata <= Offset_Reg[4];  //bit46
6'd43: adc_sdata <= Offset_Reg[3];  //bit47
6'd44: adc_sdata <= Offset_Reg[2];  //bit48
6'd45: adc_sdata <= Offset_Reg[1];  //bit49
6'd46: adc_sdata <= Offset_Reg[0];  //bit50
       default: adc_sdata <= 1'b0;//все остальные
endcase
      end
else if(num==6'd46) num <= 6'd0;
end
end

assign adc_sclk = ~clock;

initial
begin
num = 6'd0;
  p = 1'b0;
  //t = 1'b0;
  adc_sload = 1'b1;
end

endmodule


Оффлайн Serj

  • *****
  • Сообщений: 4 606
  • Благодарностей: 95
    • Сообщения от Serj
    • Тверской астроклуб
Re: 33 мегапикселя на ладони
« Ответ #788 : 08 Янв 2017 [20:18:57] »
Поздравляю! А для неверующихсведущих, в чём косяк то был? В общении с ADC по SPI?
We have met the enemy and he is us.

andreichk

  • Гость
Re: 33 мегапикселя на ладони
« Ответ #789 : 08 Янв 2017 [20:43:49] »
    begin   
               p <= 0; num <= 6'd0;//обнуление переменных перед началом счёта байт инициализации
                   end else begin

Оффлайн Максим M

  • ****
  • Сообщений: 275
  • Благодарностей: 4
  • Аватар пропил...
    • Skype - m_de_p
    • Сообщения от Максим M
Re: 33 мегапикселя на ладони
« Ответ #790 : 10 Янв 2017 [10:56:51] »
Осмелюсь предположить что сию ошибку заметить было трудно.
Так как по инерции мышления - кажется что АЦП инициализируется единожды. Как бы и должно.
Но он инициализируется перед каждым кадром (?) . И инициализатор по ошибе получил состояния между запусками.
---------------
Пролетает Нибиру мимо спутнега. Он ей такой: спутинеги берешь? А она такая: не, ни биру

Оффлайн Serj

  • *****
  • Сообщений: 4 606
  • Благодарностей: 95
    • Сообщения от Serj
    • Тверской астроклуб
Re: 33 мегапикселя на ладони
« Ответ #791 : 10 Янв 2017 [13:00:26] »
Но он инициализируется перед каждым кадром (?) .
Нелогично. Какая ему разница, начало кадра это, или середина песни?
We have met the enemy and he is us.

andreichk

  • Гость
Re: 33 мегапикселя на ладони
« Ответ #792 : 10 Янв 2017 [19:51:23] »
осталось найти и устранить остальные 3789475343 ошибок..... 8)

andreichk

  • Гость
Re: 33 мегапикселя на ладони
« Ответ #793 : 14 Янв 2017 [17:07:40] »
ОЧЕРЕДНОЙ ПРОРЫВ !!!!!
 Научился сохранять пользовательские настройки камеры в файл и при следующем подключении вызывать их в форму....
мелочь, но приятно.... 8)
//===========================================================================================================
//===================== ЗАПИСЬ ПОЛЬЗОВАТЕЛЬСКИХ НАСТРОЕК В ФАЙЛ userdata.txt ================================
//===========================================================================================================
   void write_wert(void)//
{
String^ fileName = "userdata.txt";
    StreamWriter^ sw = gcnew StreamWriter(fileName);
sw->WriteLine(+Fsize+" "+SelIndex+" "+number+" "+gain+" "+offset+"");// запись значений
    sw->Close();
}

//===========================================================================================================
//====================== ЧИТАЕМ ПОЛЬЗОВАТЕЛЬСКИЕ НАСТРОЙКА С ФАЙЛА userdata.txt =============================
//===========================================================================================================
void read_nastroiki() {

    FILE *file = NULL;
unsigned int fs, si, nn, gg, oo;
 
    file = fopen("userdata.txt", "rb");

fscanf(file, "%d%d%d%d%d", &fs, &si, &nn, &gg, &oo);

    Fsize = fs;//формат кадра
SelIndex = si;//выдержка
           number = nn;//кол-во кадров
             gain = gg;//усиление АЦП
   offset = oo;//смещение АЦП
    fclose(file);
}

Оффлайн Максим M

  • ****
  • Сообщений: 275
  • Благодарностей: 4
  • Аватар пропил...
    • Skype - m_de_p
    • Сообщения от Максим M
Re: 33 мегапикселя на ладони
« Ответ #794 : 14 Янв 2017 [18:11:59] »
num <=

Переменная num - имеет 6 бит. и конечный автомат её не сбрасывал. В итоге - при повторной инициализации АЦП счет битов сбивался, изза чего АПЦ получал мусорную инициализацию. Но т.к. этот счетчик работает по кругу - то после переполнения вновь инициализировался нормально.

Эх. Андрей, конечные автоматы писать надо крайне внимательно.
---------------
Пролетает Нибиру мимо спутнега. Он ей такой: спутинеги берешь? А она такая: не, ни биру

andreichk

  • Гость
Re: 33 мегапикселя на ладони
« Ответ #795 : 23 Фев 2017 [01:39:19] »
немного потренировался рисовать в altium designer


andreichk

  • Гость
Re: 33 мегапикселя на ладони
« Ответ #796 : 22 Июн 2019 [20:14:39] »
Народ!!! Кто ещё меня помнит, подскажите плиз, как в МАКСИМ ДЛ преобразовать сырой РАВ файл во что-то видимое? помнится кто-то научил, но я забыл напрочь… тут движуха началась с устранением очередных косяков, а проверить никак не получается..
заранее спасибо ОГРОМНОЕ... 8)

Оффлайн leviathan

  • *****
  • Сообщений: 27 476
  • Благодарностей: 1607
  • Смотри в оба !
    • Сообщения от leviathan
Re: 33 мегапикселя на ладони
« Ответ #797 : 22 Июн 2019 [21:22:55] »
Помню германо-сирийский зоопарк. ;)

РАВ сохранить как джепег ? File - Save As, там выбрать нужный формат. Если требуется дебаеризовать или ещё что-то - делать до этого.
Я видел всё небо !

andreichk

  • Гость
Re: 33 мегапикселя на ладони
« Ответ #798 : 22 Июн 2019 [21:29:40] »
Помню германо-сирийский зоопарк. ;)
 Если требуется дебаеризовать или ещё что-то - делать до этого.
вот именно это меня интересует. дебаеризировать

Оффлайн leviathan

  • *****
  • Сообщений: 27 476
  • Благодарностей: 1607
  • Смотри в оба !
    • Сообщения от leviathan
Re: 33 мегапикселя на ладони
« Ответ #799 : 22 Июн 2019 [21:37:36] »
Находится под вкладкой Color. К примеру Convert Color или Extract Bayer Plane.
Я видел всё небо !